超越摩爾定律的萬億產業!先進封裝成AI時代重要战場!
1年前

盡管Nvidia試圖大幅增加產量,最高端的Nvidia GPU H100將一直售罄到明年第一季度。這種現狀短期沒法改變,真正的瓶頸是CoWoS容量,或者更准確的說是先進封裝技術。

從歷史沿革看,微電子技術大致遵循着“摩爾定律”快速發展。但近年來,隨着芯片制程工藝的演進,“摩爾定律”迭代進度放緩,導致芯片的性能增長邊際成本急劇上升。據IBS統計,在達到 28nm制程節點以後,如果繼續縮小制程節點,每百萬門晶體管的制造成本不降反升。


而另一方面,在摩爾定律減速的同時,計算需求卻在暴漲。隨着雲計算、大數據、人工智能、自動駕駛等新興領域的快速發展,對算力芯片的效能要求越來越高。

後摩爾時代,在計算需求瓶頸、芯片制造面臨物理極限與經濟效益邊際提升多重挑战下,半導體行業开始探索新的發展路徑。

其中,先進封裝成爲超越摩爾定律方向中的一條重要賽道。


先進封裝在提高芯片集成度、縮短芯片距離、加快芯片間電氣連接速度以及性能優化的過程中扮演了更重要角色,正成爲助力系統性能持續提升的重要保障,並滿足“輕、薄、短、小”和系統集成化的需求。

可見,隨着大算力需求提升,以及單芯片向更先進制程推進難度的增大,先進封裝替代先進制程成爲降低單位算力成本的關鍵方案。

Yole Group最新的Advanced Packaging Market Monitor數據顯示 ,全球先進封裝市場規模將由2022年的443億美元,增長到2028年的786億美元,年復合成長率(CAGR)爲10.6%。


從晶圓代工廠商動態來看,在代工制程按照摩爾定律飛速發展的甜蜜期,封裝並沒有進入晶圓代工廠的視野。然而,近幾年來隨着摩爾定律失速,先進制程的成本快速提升,一些晶圓代工大廠的發展重心正在從過去追求更先進納米制程,轉向封裝技術的創新。諸如台積電、英特爾、三星、聯電等芯片制造廠商紛紛跨足封裝領域,先進封裝技術無疑成爲代工巨頭角逐的重要战場。


台積電優勢凸顯

早在10多年前台積電就看出隨着半導體前段工藝的快速微縮,後段封裝技術會跟不上前段工藝的腳步,等到那時,摩爾定律真的會失效。因此毅然決定投入封裝技術,在2008年底成立了導线與封裝技術整合部門(IIPD )。

目前台積電最爆款的封裝技術是CoWos技術。CoWoS是台積電的一種“2.5D”封裝技術,其中多個有源硅芯片(通常的配置是邏輯和HBM堆棧)集成在無源硅中介層上。中介層充當頂部有源芯片的通信層。然後將內插器和有源硅連接到包含要放置在系統PCB上的I/O的封裝基板。目前絕大多數CoWoS需求來自人工智能。與半導體供應鏈的其他部分不同,其他主要終端市場的疲軟意味着有足夠的闲置空間來吸收GPU需求的巨大增長,CoWoS和HBM已經是大多數面向人工智能的技術,因此所有闲置空間已在第一季度被吸收。隨着GPU需求的爆炸式增長,供應鏈中的這些部分無法跟上並成爲GPU供應的瓶頸。

除了CoWoS,台積電還有其他封裝技術。2018年4月的美國加州聖塔克拉拉第二十四屆年度技術研討會上,台積電首度對外界公布了創新的系統整合單芯片(SoIC)多芯片3D堆疊技術。

據介紹,SoIC是一種創新的多芯片堆疊技術,是一種晶圓對晶圓的鍵合技術,SoIC是基於台積電的CoWoS與多晶圓堆疊(WoW)封裝技術开發的新一代創新封裝技術,這標志着台積電已具備直接爲客戶生產3D IC的能力。相較2.5D封裝方案,SoIC的凸塊密度更高,傳輸速度更快,功耗更低。

2020年,台積電宣布將其2.5D和3D封裝產品合並爲一個全面的品牌3DFabric,進一步將制程工藝和封裝技術深度整合,以加強競爭力。3DFabric平台由SoIC(系統整合芯片)、InFO(整合型扇出封裝技術)、CoWoS(基板上芯片封裝)所組成,提供業界最完整且最多用途的解決方案,用於整合邏輯小芯片技術(Chiplet)、HBM、特殊制程芯片,實現更多創新產品設計。

英特爾緊追不舍,三星略顯遲緩

2018年12月,英特爾展示了名爲“Foveros”的全新3D封裝技術,這是繼2018年英特爾推出突破性的EMIB封裝技術之後,英特爾在先進封裝技術上的又一個飛躍。今年5月,英特爾發布了先進封裝技術藍圖,計劃將傳統基板轉爲更爲先進的玻璃材質基板。

而隨着英特爾提出IDM 2.0發展策略,晶圓代工業務成爲英特爾重要轉型項目,除了爲高通等無廠半導體企業代工制造以外,其封裝技術也是英特爾極力推銷的對象。英特爾表示,客戶可選擇由台積電、GF等進行代工,之後利用英特爾技術進行封裝、測試,這一模式將爲客戶帶來更靈活的產品制造方式。英特爾強調,目前已經與全球前10大芯片封裝廠旗下客戶進行洽談,並且獲得Cisco、AWS在內業者青睞。


另一方面,今年6月,三星宣布與內存、基板封裝、測試等領域的合作夥伴成立“MDI(多芯片集成)聯盟”,構建2.5D和3D異構集成的封裝技術生態在此之前,三星已經推出了I-Cube、X-Cube等2.5D和3D封裝技術,此次成立聯盟將提升其產業鏈整合能力,以及一站式和定制化服務能力。


針對2.5D封裝,三星推出的I-Cube封裝制程可與台積電CoWoS封裝制程相抗衡;3D IC技術方面,三星2020年推出X-Cube封裝,將硅晶圓或芯片物理堆疊在一起,每個晶圓都通過硅通孔(TSV)連接,最大程度上縮短互連長度,在降低功耗的同時能提高傳輸速率。

隨着運算需求的日益復雜,異構計算大行其道,更多不同類型的芯片需要被集成在一起,而依靠縮小线寬的辦法已經無法同時滿足性能、功耗、面積以及信號傳輸速度等多方面的要求。


在此情況下,越來越多的半導體廠商开始把注意力放在系統集成層面。除了傳統委外封測廠商(OSAT)之外,近年來晶圓代工廠、IDM也在大力發展先進封裝或相關技術,甚至有Fabless和OEM也參與其中,通過封裝技術尋求解決方案。


Foundry方面,由於2.5D/3D封裝技術中涉及前道工序的延續,晶圓代工廠對前道制程非常了解,對整體布线的架構有更深刻的理解,走的是芯片制造+封裝高度融合的路线。

因此,在高密度的先進封裝方面,Foundry比傳統OSAT廠更具優勢。這也使得先進封裝成爲當前業內幾大主流半導體晶圓制造廠商重點發展的技術。台積電、英特爾和三星等代工巨頭已成功利用先進封裝市場的增長,實現了其技術壁壘的不斷提升。而隨着國際幾大巨頭开始發力先進封裝,國內的封裝產業也是生機勃勃,各大公司爭相角逐這個賽道,相關產業鏈公司將迎來長坡厚雪的市場機遇


甬硅電子688362


甬硅電子專注於中高端先進封裝和測試業務,報告期內公司全部產品均爲中高端先進封裝形式,包括 FC 類產品、 SiP 類產品、BGA 類產品等,屬於國家重點支持的領域之一。$甬硅電子(SH688362)$


公司在SiP領域具備豐富的技術積累,同時通過實施晶圓凸點產業化項目布局“扇入型封裝”(F an-in)、“扇出型封裝”(F an-out)、2.5D、3D等晶圓級和系統級封裝應用領域,並爲進一步拓展異構封裝領域打下基礎。


另一方面,FC類產品中除現有產品外,公司持續完善公司自身產品线布局,積極推進FCBG等產线的實施,努力打造成爲最具競爭力的一站式Turnkey封測基地。



頎中科技688352


公司的主要從事集成電路的先進封裝與測試業務,目前主要聚焦於顯示驅動芯片封測領域和以電源管理芯片,射頻前端芯片爲代表的非顯示類芯片封測領域。公司也是全國唯一一家能夠提供DDIC全制程段封測服務的企業。$頎中科技(SH688352)$


頎中科技能夠爲客戶提供全方位一站式先進封測的解決方案,包含凸塊加工、晶圓測試、研磨切割、封裝測試等制程服務,以及光罩設計、COF卷帶圖面設計、測試程式开發、探針卡設計及維修等配套服務。公司爲顯示驅動芯片提供COF/COG/COP封裝方案,具備行業領先的全方位的顯示封裝技術,可以爲客戶提供12um超細間距Super Fine Pitch COF、雙面銅2-Metal COF、多芯片Multi-Chip COF、125mm大版面COF及多種散熱解決方案。



聯瑞新材688300


公司持續聚焦面向先進封裝材料以及面向5G高頻高速覆銅板應用需求的球形陶瓷粉體材料的研發。先進芯片封裝用電子級亞微米球形硅微粉、底部填充膠用化學合成球形二氧化硅微粉、高可靠車載板用低雜質硅微粉等項目已經結題並實現產業化$聯瑞新材(SH688300)$


2021年8月15日,聯瑞新材發布公告稱,爲了持續滿足新一代芯片封裝、高頻高速電路基板等領域的客戶需求,不斷完善球形硅基和鋁基產品的產能布局,進一步擴大球形粉體材料產能,擬投資3億元實施年產15000噸高端芯片封裝用球形粉體生產线建設項目。目前該項目已於2022年四季度順利調試,2023年上半年已向市場投放一半產能,公司對下半年需求預期持樂觀態度。



德邦科技688035


公司集成電路封裝材料部分產品可應用於2.5D和3D等先進封裝互連技術。其中DAF、CDAF相關產品可應用在集成電路芯片的多維封裝、疊加封裝等高端封裝工藝中,競爭對手以國際封裝材料企業爲主,國內未看到其他友商能夠生產。


此前財通證券發布研報,給予德邦科技增持評級。評級理由主要包括:先進封裝技術帶動公司相關集成電路封裝材料需求高增; AI技術的應用將帶動智能終端需求的提升,受益公司相關智能終端材料


同興達002845


2022年,深圳同興達與千燈鎮及日月新集團達成合作,建設同興達半導體先進封裝項目。該項目預計總投資30億元,一期總投資9.8億元,達產後產值預計32億元納稅1.7億元。雙方將共建"芯片先進封測(Gold Bump)全流程封裝測試"生產线,由昆山同興達投資 Gold Bumping(金凸塊)段所需設備、晶圓測試段測試機、COF/COG 段所需專用設備至生產线所在地,產能配置 2萬片/月。



2023年2月1日,同興達半導體先進封裝項目首台”SMEE光刻機”正式搬入昆山廠房。


追加內容

本文作者可以追加內容哦 !

鄭重聲明:本文版權歸原作者所有,轉載文章僅為傳播信息之目的,不構成任何投資建議,如有侵權行為,請第一時間聯絡我們修改或刪除,多謝。



標題:超越摩爾定律的萬億產業!先進封裝成AI時代重要战場!

地址:https://www.breakthing.com/post/79513.html